Browse Wiki & Semantic Web

Jump to: navigation, search
Http://dbpedia.org/resource/Field-programmable gate array
  This page has no properties.
hide properties that link here 
  No properties link to this page.
 
http://dbpedia.org/resource/Field-programmable_gate_array
http://dbpedia.org/ontology/abstract Programovatelná hradlová pole (FPGA, angliProgramovatelná hradlová pole (FPGA, anglicky Field Programmable Gate Array) je v elektronice typ logického integrovaného obvodu, který je vyroben tak, aby mohl být naprogramován až u zákazníka. Obsahuje pole programovatelných logických obvodů (PLD), logických bloků, umožňuje je navzájem propojit a tím vytvořit takřka libovolné číslicové zařízení (například mikroprocesor, řídicí obvod síťové karty a podobně). Tím se odlišuje od zákaznických integrovaných obvodů (ASIC), jejichž funkce je dána již při výrobě.C), jejichž funkce je dána již při výrobě. , Ein FPGA (Akronym für Field Programmable GEin FPGA (Akronym für Field Programmable Gate Array) ist ein integrierter Schaltkreis (IC) der Digitaltechnik, in welchen eine logische Schaltung geladen werden kann. Die Bezeichnung kann übersetzt werden als im Feld (also vor Ort, beim Kunden) programmierbare (Logik-)Gatter-Anordnung. Anders als bei der Programmierung von Computern, Mikrocontrollern oder Steuerungen bezieht sich hier der Begriff Programmierung nicht nur auf die Vorgabe zeitlicher Abläufe, sondern vor allem auch auf die Definition der gewünschten Schaltungsstruktur. Diese wird mittels einer Hardwarebeschreibungssprache formuliert und von einer Erzeugersoftware in eine Konfigurationsdatei übersetzt, welche vorgibt, wie die physischen Elemente im FPGA verschaltet werden sollen. Man spricht daher auch von der Konfiguration des FPGA. Ohne diese hat der Baustein keine Funktion. FPGAs stellen eine Weiterentwicklung der PLDs dar und kommen in vielen Gebieten der digitalen Elektronik zum Einsatz.eten der digitalen Elektronik zum Einsatz. , FPGA(field programmable gate array, 필드 프로그FPGA(field programmable gate array, 필드 프로그래머블 게이트 어레이)는 설계 가능 논리 소자와 프로그래밍이 가능한 내부 회로가 포함된 반도체 소자이다. 설계 가능 논리 소자는 AND, OR, XOR, NOT, 더 복잡한 디코더나 계산기능의 조합 기능같은 기본적인 논리 게이트의 기능을 복제하여 프로그래밍할 수 있다. 대부분의 FPGA는 프로그래밍 가능 논리 요소 (FPGA 식으로는 논리 블록이라고도 함)에 간단한 플립플롭이나 더 완벽한 메모리 블록으로 된 메모리 요소를 포함하고 있다. 프로그램이 가능한 내부선 계층구조는 FPGA의 논리블록을 시스템 설계자가 요구하는 대로 단일 칩 프로그래밍가능 브레드보드처럼 내부연결을 할 수 있다. 이 논리블록과 내부선은 제조공정 이후에 소비자/설계자가 프로그램할 수 있으므로 요구되는 어떠한 논리기능도 수행할 수 있다.(그러한 이유로 "현장 프로그래머블") FPGA는 일반적으로 주문형 반도체(ASIC) 대용품보다 느리고, 복잡한 설계에 적용할 수 없으며, 소비전력이 크다. 그러나 개발시간이 짧고, 오류를 현장에서 재수정할 수 있고, 초기 개발비가 저렴하다는 장점이 있다. 제조사는 설계 이후에 수정할 수 없도록 할당된 덜 유연한 FPGA 버전으로 싸게 팔 수 있다. 이런 설계개발은 일반적인 FPGA에서 만들었고 좀 더 ASIC와 비슷한 고정된 버전으로 변경되었다. CPLD는 비슷한 역할을 할 수 있는 소자이다.고정된 버전으로 변경되었다. CPLD는 비슷한 역할을 할 수 있는 소자이다. , Agordebla Matrico de Logikaj Elementoj aŭ Agordebla Matrico de Logikaj Elementoj aŭ AMLE (angle field-programmable gate array (FPGA)) estas integra cirkvito, kies funkciado estas reagordebla kaj konfigurebla far uzanto. La konfigurado de AMLE estas farata per speciala programlingvo (HDL). Pli frue oni ankaŭ uzis , sed nun tiu maniero priskribi konfiguron estas nun tre rara. AMLE povas esti agortita por iu logika funkcio, kiun performas normala integra cirkvito. Ebleco rekonfiguri funkciadon por kurantaj taskoj kontraŭ malgranda aldona kosto aŭ entute sen iu kosto igas na AMLE tre utila en multaj kampoj, malgraŭ ĝenerale pli alta komenca prezo. AMLE enhavas programeblajn logikajn elementojn nomataj "logikaj blokoj" kaj hierarkion de reagordeblaj interligiloj, per kiuj la blokoj povas esti konektitaj laŭvole, kiel ĉe . Certaj aranĝoj de logikaj elementoj povas performi komplikajn operaciojn de aŭ funkcii kiel simplaj . Pli modernaj AMLE-oj ankaŭ inkluzivas memorajn elementoj, kiuj povas esti simplaj aŭ plenaj komplikaj aroj de memoriloj.laj aŭ plenaj komplikaj aroj de memoriloj. , Field-Programmable Gate Array (FPGA) merupField-Programmable Gate Array (FPGA) merupakan sebuah IC digital yang sering digunakan untuk mengimplementasikan rangkaian digital. FPGA berbentuk komponen elektronika dan semikonduktor yang terdiri dari komponen gerbang terprogram dan sambungan terprogram (interkoneksi). Komponen gerbang terprogram yang dimiliki meliputi jenis gerbang logika biasa maupun jenis fungsi matematis dan kombinatorik yang lebih kompleks, seperti decoder, adder, subtractor, multiplier, dll. Blok-blok komponen di dalam FPGA bisa juga mengandung elemen memori (register) mulai dari flip-flop sampai pada RAM (Random Access Memory). FPGA sangat sesuai untuk pemrosesan komputasi dari algoritme . Keuntungan implementasi FPGA digunakan untuk meningkatkan efisiensi rancangan dengan cara mengurangi pemakaian pemrograman perangkat lunak (software). FPGA mempunyai koreksi yang kecil dan merupakan teknologi yang bebas (t-independent) untuk diimplementasikan dalam berbagai algoritme. Kinerja aplikasi FPGA lebih cepat dibandingkan dengan aplikasi mikrokontroler, karena FPGA hanya mensintesis perangkat keras (hardware) saja, sementara mikrokontroler mengeksekusi instruksi perangkat lunak (software) yang digunakan untuk mengendalikan perangkat keras (hardware), sehingga waktu tunda yang diimplementasikan hanya memakan waktu tunda perambatan saja. Pemodelan FPGA membutuhkan informasi terkait dengan tingkat perbedaan abstraksi dan jenis model yang digunakan. Seorang perancang FPGA harus mampu mengambil beberapa tahapan pemodelan untuk memastikan hasil model rancangannya melalui model simulasi yang telah disediakan oleh vendor FPGA masing-masing. Pengertian terprogram dalam FPGA adalah mirip dengan interkoneksi saklar dalam breadboard yang bisa diubah oleh pembuat desain sesuai kebutuhan pengguna. Dalam FPGA, interkoneksi ini bisa diprogram kembali oleh pengguna maupun pendesain di dalam lab atau lapangan (field). Oleh karena itu jajaran gerbang logika (Gate Array) ini disebut field-programmable. Jenis gerbang logika yang bisa diprogram meliputi semua gerbang dasar untuk memenuhi kebutuhan yang manapun. Vendor-vendor FPGA berbasis static random access memory (SRAM) dibuat oleh Xilinx Inc., Corp., Atmel dan Semiconductor; sedangkan, vendor-vendor FPGA berbasis dan dibuat oleh Corp. dan Corp. Pemain lainnya yang kemudian pupus di tengah jalan diantaranya adalah Intel, , Motorola, , AMD, , Philips. Pendatang dalam dunia FPGA yang telah diserap dan gagal dalam pemasaran produknya adalah , , , , , , , Silicon. Kecepatan inovasi dalam dunia FPGA ditentukan oleh vendor yang memimpin pemasaran produknya. Dua vendor FPGA yang sering dipakai oleh perancang adalah Xilinx, Inc. dan Altera Corp.ncang adalah Xilinx, Inc. dan Altera Corp. , Field-Programmable Gate Array (förkortat FField-Programmable Gate Array (förkortat FPGA, vilket kan översättas med 'på-plats-programmerbar grindmatris'), är en integrerad krets som används inom digitalteknik, vars fysiska funktion kan ändras genom att ny programmering översänds genom anslutning av en enkel kabel. Kretsens funktionsbeskrivning kan laddas in direkt via en datalänk (JTAG) till en dator eller från minnen som till exempel RAM, ROM eller flashminne. När kretsen blir spänningssatt kan valfri funktion laddas in, eller laddas om senare med en ny funktionsbeskrivning så ofta som önskas. Vissa FPGA-kretsar från företag som till exempel Xilinx och är utrustade med icke-flyktigt datorminne varifrån funktionsbeskrivningen laddas vid spänningspåslag, och därmed behåller konfigurationen även efter förlust av elektrisk spänning. även efter förlust av elektrisk spänning. , Bezpośrednio programowalna macierz bramek Bezpośrednio programowalna macierz bramek (od ang. field-programmable gate array, FPGA) – rodzaj programowalnego układu logicznego. Dla projektanta ma funkcjonalność taką samą jak specjalizowany układ scalony, jednak może być wielokrotnie programowany bez demontażu, po jego wytworzeniu i zainstalowaniu w urządzeniu docelowym. FPGA to jedna z dwóch rodzin programowalnych cyfrowych układów logicznych o dużym stopniu scalenia – drugą grupę stanowią układy CPLD (ang. complex programmable logic device) o nieco innej architekturze. Największymi dostawcami tego typu są firmy Altera i Xilinx, a także , Atmel, , , . Bezpośrednio programowalne macierze bramek są zazwyczaj wolniejsze od odpowiadających im specjalizowanych układów scalonych i pobierają więcej mocy. Mają natomiast wiele innych zalet takich jak krótszy czas projektowania, niższe koszty produkcji (dla małych serii). Ponadto istnieje obecnie możliwość wykonania tzw. hard copy FPGA, czyli układu scalonego o funkcjonalności takiej jak wgrany do FPGA projekt. Układ taki jest szybszy i pobiera mniej mocy. Na ogół programowalne macierze bramek zawierają rozmieszczone matrycowo bloki logiczne . Poszczególne bloki są łączone ze sobą za pośrednictwem linii traktów połączeniowych (routing channels) oraz programowalnych matryc kluczy połączeniowych umieszczonych w miejscu krzyżowania się traktów poziomych i pionowych. Na obrzeżach matrycy bloków logicznych znajdują się programowalne bloki IOB (wejściowo-wyjściowe). Struktury FPGA zawierają od 64 do dziesiątków tysięcy bloków logicznych o bardzo zróżnicowanej budowie. Bloki logiczne mogą być bardzo złożone, jest ich wówczas mniej w układzie lub względnie proste i jest ich wówczas więcej. Zazwyczaj złożone bloki logiczne zawierają dwie lub więcej pamięci RAM umożliwiających tworzenie tablic wartości funkcji LUT (Look-up Table) i dwa lub więcej przerzutników. W większości układów są to tablice czterowejściowe (pamięć RAM o pojemności 16 bitów). W układach o prostszej budowie, bloki logiczne zawierają zwykle dwuwejściowe układy generacji funkcji kombinacyjnych lub multipleksery czterowejściowe i, ewentualnie, przerzutniki. Nowoczesne układy FPGA mają możliwość przeprogramowania „w locie” poprzez zastosowanie mechanizmu , co prowadzi do pomysłu czy – czyli układów, które mogą dostosować swoją strukturę tak by lepiej sprostać zadaniom, przed którymi stoją w danym momencie. Układy FPGA używane są w cyfrowym przetwarzaniu sygnałów, lotnictwie i wojsku, w fazie prototypowej układów ASIC i w wielu innych dziedzinach. Np. układy FPGA firmy Xilinx z powodzeniem sprawdziły się w misji na Marsa zakończonej lądowaniem łazików Spirit i Opportunity. Aby zdefiniować zachowanie układu FPGA używa się języka opisu sprzętu, jak Verilog lub VHDL. Następnie przy pomocy narzędzi syntezy generuje się listę połączeń, która potem w procesie implementacji jest odwzorowywana w konkretnym układzie. Należy zwrócić uwagę, że proces syntezy dopuszcza tworzenie układów logicznych dowolnych rozmiarów, podczas gdy proces implementacji jest próbą wpisania go do konkretnej programowalnej macierzy bramek, gdzie może zabraknąć zasobów do realizacji zadanej logiki. Do zaprogramowania FPGA służy plik binarny, który zawiera informacje o konfiguracji układu. Układy rekonfigurowalne można podzielić ze względu na rodzaj technologii wykorzystywanej w celu pamiętania swojej konfiguracji: * SRAM – bazuje na technologii pamięci statycznej, dlatego przy braku zasilania tracą swoją konfigurację * EPROM – zazwyczaj programowalne tylko raz, ewentualnie można wykasować ich konfigurację przy pomocy promieniowania ultrafioletowego * EEPROM – wielokrotnego użytku, zachowują swoją konfigurację przy braku zasilania * FLASH – wielokrotnego użytku. Zazwyczaj komórki FLASH są mniejsze niż odpowiadające im komórki EEPROM, dlatego układy takie są tańsze do wyprodukowania. * przepalenia (ang. fuse), udrożnienia (ang. anti-fuse) – programowalne tylko raz. Jest to stary typ technologiczny, ale nadal chętnie wykorzystywany przez wojsko z uwagi na wysoką odporność na impuls elektromagnetyczny z broni nuklearnej. W układach tego typu podczas programowania następuje „przepalenie” wybranych wewnętrznych rezystorów (typowo 300 Ω) połączeniowych, co skutkuje trwałością i nieodwracalnością zapisu układu.ałością i nieodwracalnością zapisu układu. , Програмована користувачем вентильна матрицПрограмована користувачем вентильна матриця, ПКВМ (англ. Field-Programmable Gate Array, FPGA) — напівпровідниковий пристрій, що може бути налаштований виробником або розробником після виготовлення; звідси назва: «програмується користувачем». ПКВМ програмуються шляхом зміни логіки роботи принципової схеми, наприклад, за допомогою вихідного коду мовою проектування (типу VHDL), на якому можна описати цю логіку роботи мікросхеми. ПКВМ є однією з архітектурних різновидів програмованих логічних інтегральних схем (ПЛІС). ПКВМ можуть бути модифіковані практично в будь-який момент у процесі їх використання. Вони складаються з конфігурованих логічних блоків, подібних перемикачам з множиною входів і одним виходом (логічні вентилі або gates). У цифрових схемах такі перемикачі реалізують базові виконавчі операції AND, NAND, OR, NOR і XOR. У більшості сучасних мікропроцесорів функції логічних блоків фіксовані і не можуть модифікуватися. Принципова відмінність ПКВМ полягає в тому, що і функції блоків, і конфігурація з'єднань між ними можуть змінюватися за допомогою спеціальних сигналів, що посилаються схемою. У деяких спеціалізованих інтегральних схемах (ASIC) використовуються логічні матриці, аналогічні ПКВМ за структурою, однак вони конфігуруються один раз в процесі виробництва, у той час як ПКВМ можуть постійно перепрограмувати і міняти топологію з'єднань в процесі використання. Однак, така гнучкість вимагає істотного збільшення кількості транзисторів мікросхеми.льшення кількості транзисторів мікросхеми. , Een field-programmable gate array (FPGA) iEen field-programmable gate array (FPGA) is een geïntegreerde schakeling bestaande uit programmeerbare logische componenten. Deze logische componenten kunnen geprogrammeerd worden als logische functies zoals AND, XOR enzovoorts. Deze functies kunnen bijvoorbeeld decoders of eenvoudige wiskundige functies zijn. In het merendeel van de FPGA's kan men ook logische elementen terugvinden in de logische blokken. FPGA’s zijn ontstaan in de jaren 80. Vanaf toen werden ze veelvuldig toegepast in prototypes en producten. Een FPGA is een speciaal soort (PLD). Deze PLD maakt de implementatie mogelijk van courante digitale circuits. De capaciteit van een FPGA is zodanig gegroeid dat tegenwoordig een volledig multi-processorsysteem in één enkele chip geplaatst kan worden. Voor de implementatie van ontwerpen van digitale circuits die in de FPGA moeten komen, maakt men gebruik van een CAD-programma. Deze CAD-programma’s ondersteunen verschillende methodes om logische schakelingen toe te voegen. Aangezien deze digitale circuits steeds complexer worden zijn hardwarebeschrijvingstalen (HDL's) de enige praktische keuze om zulke systemen te definiëren. Een HDL is een hogere programmeertaal. Het lagere niveau wordt meestal door CAD voorzien. Hierdoor kan de ontwerper zich middels de HDL volledig toeleggen op het functionele van het ontwerp.leggen op het functionele van het ontwerp. , 現場可程式化邏輯閘陣列(英語:Field Programmable Gate Array,縮寫為FPGA),它以PAL、GAL、CPLD等可编程逻辑器件為技術基礎發展而成。作為特殊应用集成电路中的一种半定制电路,它既彌補全定制電路不足,又克服原有可编程逻辑控制器邏輯閘數有限的缺點。 , FPGA(英: field-programmable gate array)は、製造後に購入者や設計者が構成を設定できる集積回路であり、広義にはPLD(プログラマブルロジックデバイス)の一種である。現場でプログラム可能なゲートアレイであることから、このように呼ばれている。 , Una matriz de puertas lógicas programable Una matriz de puertas lógicas programable en campo​​ o FPGA (del inglés field-programmable gate array), es un dispositivo programable que contiene bloques de lógica cuya interconexión y funcionalidad puede ser configurada en el momento, mediante un especializado. La lógica programable puede reproducir desde funciones tan sencillas como las llevadas a cabo por una puerta lógica o un sistema combinacional hasta complejos sistemas en un chip. Las FPGA se utilizan en aplicaciones similares a los ASIC sin embargo son más lentas, tienen un mayor consumo de energía y no pueden abarcar sistemas tan complejos como ellos. A pesar de esto, las FPGA tienen las ventajas de ser reprogramables (lo que añade una enorme flexibilidad al flujo de diseño), sus costes de desarrollo y adquisición son mucho menores para pequeñas cantidades de dispositivos y el tiempo de desarrollo es también menor. Ciertos fabricantes cuentan con FPGA que solo se pueden programar una vez, por lo que sus ventajas e inconvenientes se encuentran a medio camino entre los ASIC y las FPGA.Históricamente las FPGA surgen como una evolución de los conceptos desarrollados en las PAL y los CPLD.eptos desarrollados en las PAL y los CPLD. , Ate-matrize programagarria edo FPGA (ingelAte-matrize programagarria edo FPGA (ingelesez: Field-programmable gate array) delakoa, ate-multzo programagarriak dituen zirkuitu integratua da. Hauek (HDL) bidez konfiguratu ohi dira. Ate-matrize programagarriak bloke logiko programagarriz osatuta daude. Blokeen arteko interkonexioak konfigura daitezke. Ondorioz, ate logikoak baino zailagoak diren funtzio konbinazionalak lor daitezke. Gainera, FPGA gehienek memoria-elementuak ere badituzte, dela biegonkorrak, edo dela memoria-blokeak.la biegonkorrak, edo dela memoria-blokeak. , Um arranjo de porta programável em campo, Um arranjo de porta programável em campo, em inglês field programmable gate array (sigla FPGA), é um circuito integrado projetado para ser configurado por um consumidor ou projetista após a fabricação – de onde advém "programável em campo". A grande maioria dos chips que encontramos em nosso dia-a-dia, circuitos que acompanham as televisões, celulares, etc., já vêm todos pré-programados (ASIC), isto é, com as suas funcionalidades todas definidas no ato de fabricação. Surgiu então uma categoria nova de hardware reconfigurável, o qual têm as suas funcionalidades definidas exclusivamente pelos usuários e não pelos fabricantes.te pelos usuários e não pelos fabricantes. , مصفوفة البوابات المنطقية القابلة للبرمجة (مصفوفة البوابات المنطقية القابلة للبرمجة (بالإنجليزية: (Field Programmable Gate Array (FPGA)‏ هي دائرة متكاملة صممت ليتم بواسطتها تعديل أو تصميم أنظمة متكاملة حتى بعد عملية التصنيع دون الحاجة لإعادة تجميع النظام أو المنتج، لذلك أخذت التسمية القابلة للبرمجة في الميدان أو Field-Programmable. بشكل عام يتم التصميم في الـ FPGA باستخدام ما يسمى بلغة وصف العتاد Hardware Description Language وهي مشابهة جداً لتلك التي يتم استخدامها في تصميم الدوائر المتكاملة ذات التطبيق المحدد (Application-Specific Integrated Circuit) أو ASIC وهي دوائر متكاملة صممت لغرض معين ولا يمكن استخدامها إلا للتطبيق الذي صممت من أجله مثل دوائر ترميز الصوت والصورة، أو دوائر التحويل التناظري-الرقمي (ADC). تحتوي شرائح الـ FPGA على مجموعة من الوحدات المنطقية المبرمجة (Configurable Logic Blocks)، بالإضافة إلى تسلسل هرمي من التوصيلات فيما بينها، بحيث يتم توصيل هذه الوحدات بحسب ما يتطلب التصميم. هذه الوحدات المنطقية يمكن ربطتها وبرمجتها لإنشاء بوابات منطقية أساسية مثل الـ AND و XOR إلى تنفيذ مهام معقدة في المنطق المدمج (Combinational Logic)، أو يمكن استخدام عناصر الذاكرة الموجودة في معظم أنواع الـ FPGA من عناصر Flip-Flop أساسية إلى وحدات ذاكرة متكاملة لتكوين أنظمة معقدة في المنطق التتابعي (Sequential Logic).قدة في المنطق التتابعي (Sequential Logic). , Una matriu de portes programable in situ (Una matriu de portes programable in situ (FPGA, sigles angleses de Field-Programmable Gate Array) és un dispositiu semiconductor que conté blocs de lògica la interconnexió i funcionalitat dels quals pot ser configurada 'in situ' mitjançant un llenguatge de programació especialitzat. La lògica programable pot reproduir des de funcions tan senzilles com les que realitza una porta lògica fins a sistemes complexos en un xip. Les FPGAs s'utilitzen en aplicacions similars als ASICs encara que són més lentes, tenen un major consum de potència i no poden contenir sistemes tan complexes com elles mateixes. Així i tot, les FPGAs tenen els avantatges de ser reprogramables (el que afegeix una gran flexibilitat al flux de disseny), els seus costos de desenvolupament i adquisició són molt menors per a petites quantitats de dispositius i el temps de desenvolupament és també menor. Certs fabricants compten amb FPGAs que només es poden programar un cop, pel que els seus avantatges i inconvenients es troben a mig camí entre els ASICs i les FPGAs reprogramables. Històricament les FPGAs sorgeixen com una evolució dels conceptes desenvolupats en les PLAs i els CPLD. Tradicionalment, els enginyers han utilitzat les FPGA amb eines de programació fetes per experts. Això no obstant, com que les FPGA s'han tornat més ràpides i més rendibles, els enginyers i investigadors amb poca o cap experiència en disseny de hardware digital estan buscant aprofitar les FPGA per crear solucions personalitzades. Per abastar aquest creixent interès, els proveïdors estan creant eines de més alt nivell que fan més fàcil programar FPGA i brindar els beneficis de la tecnologia FPGA a noves aplicacions.de la tecnologia FPGA a noves aplicacions. , Программи́руемая по́льзователем ве́нтильнаПрограмми́руемая по́льзователем ве́нтильная ма́трица (ППВМ, англ. field-programmable gate array, FPGA) — полупроводниковое устройство, которое может быть сконфигурировано производителем или разработчиком после изготовления; наиболее сложная по организации разновидность программируемых логических интегральных схем. Программируются путём изменения логики работы принципиальной схемы, например, с помощью исходного кода на языке описания аппаратуры (например Verilog). Могут быть модифицированы практически в любой момент в процессе их использования. Cостоят из конфигурируемых логических блоков, подобных переключателям с множеством входов и одним выходом (логические вентили, gates). В цифровых схемах такие переключатели реализуют базовые двоичные операции AND, NAND, OR, NOR и XOR. Принципиальное отличие ППВМ состоит в том, что и функции блоков, и конфигурация соединений между ними могут меняться с помощью специальных сигналов, посылаемых схеме. В некоторых специализированных интегральных схемах (ASIC) используются логические матрицы, аналогичные ППВМ по строению, однако они конфигурируются один раз в процессе производства, в то время как ППВМ могут постоянно перепрограммироваться и менять топологию соединений в процессе использования. Однако такая гибкость требует существенного увеличения количества транзисторов микросхемы.ичения количества транзисторов микросхемы. , Un "Field Programmable Gate Array" (solitaUn "Field Programmable Gate Array" (solitamente abbreviato in FPGA), in elettronica digitale, è un dispositivo logico programmabile ovvero genericamente un dispositivo hardware elettronico formato da un circuito integrato le cui funzionalità logiche di elaborazione sono appositamente programmabili e modificabili tramite opportuni linguaggi di descrizione hardware.portuni linguaggi di descrizione hardware. , Το FPGA ή Field Programmable Gate Array ή Το FPGA ή Field Programmable Gate Array ή συστοιχία επιτόπια προγραμματιζόμενων πυλών είναι τύπος προγραμματιζόμενου ολοκληρωμένου κυκλώματος γενικής χρήσης το οποίο διαθέτει πολύ μεγάλο αριθμό τυποποιημένων πυλών και άλλων ψηφιακών λειτουργιών όπως απαριθμητές, καταχωρητές μνήμης, γεννήτριες PLL κα. Σε ορισμένα από αυτά ενσωματώνονται και αναλογικές λειτουργίες. Κατά τον προγραμματισμό του FPGA, ο οποίος γίνεται πάντοτε ενώ αυτό είναι τοποθετημένο στο , ενεργοποιούνται οι επιθυμητές λειτουργίες και διασυνδέονται μεταξύ τους έτσι ώστε το FPGA να συμπεριφέρεται ως ολοκληρωμένο κύκλωμα με συγκεκριμένη λειτουργία. Ο κώδικας με τον οποίο προγραμματίζεται το FPGA γράφεται σε γλώσσες περιγραφής υλικού (VHDL, , ). Το FPGA έχει παρόμοιο πεδίο εφαρμογών με άλλα προγραμματιζόμενα ολοκληρωμένα ψηφιακά κυκλώματα όπως τα PLD και τα . Όμως τα ιδιαίτερα χαρακτηριστικά του FPGA είναι τα εξής: * Το FPGA χάνει τον προγραμματισμό του κάθε φορά που διακόπτεται η τάση τροφοδοσίας του. Επομένως απαιτεί εξωτερικό μικροεπεξεργαστή ή μνήμη με μόνιμη συγκράτηση δεδομένων (non-volatile memory) από τα οποία θα προγραμματίζεται, κάθε φορά που επανέρχεται η τάση τροφοδοσίας. * Ο προγραμματισμός του FPGA μπορεί να αλλάζει κάθε φορά που τροποποιείται το λογισμικό του μικροεπεξεργαστή ή τα δεδομένα της μνήμης που το ελέγχει. * Δεν υπάρχει όριο στο πόσες φορές μπορεί να επαναπρογραμματιστεί. * Η κατανάλωση ισχύος είναι σημαντικά αυξημένη, σε σχέση με τα ASIC. Έτσι το FPGA είναι ιδιαίτερα κατάλληλο εκεί που οι παράμετροι λειτουργίας πρέπει να αλλάζουν συχνά ή σε μικρές ποσότητες παραγωγής, ενώ το ASIC, λόγω μαζικής παραγωγής, είναι φτηνότερο εκεί που απαιτούνται μεγάλες ποσότητες και η επιθυμητή λειτουργία είναι αυστηρά προκαθορισμένη, χωρίς σφάλματα (το ASIC δεν επαναπρογραμματίζεται). Βασική δομική μονάδα του FPGA είναι το λογικό μπλοκ, με τη χρήση του οποίου υλοποιούνται οι λογικές συναρτήσεις που εκφράζουν τη λειτουργία ενός ψηφιακού κυκλώματος. Ανάλογα με το μέγεθος του κυκλώματος πολλά λογικά μπλοκ συνδεόνται για να υλοποιήσουν το πλήθος των απαραίτητων λογικών συναρτήσεων.λήθος των απαραίτητων λογικών συναρτήσεων. , A field-programmable gate array (FPGA) is A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturing – hence the term field-programmable. The FPGA configuration is generally specified using a hardware description language (HDL), similar to that used for an application-specific integrated circuit (ASIC). Circuit diagrams were previously used to specify the configuration, but this is increasingly rare due to the advent of electronic design automation tools. FPGAs contain an array of programmable logic blocks, and a hierarchy of reconfigurable interconnects allowing blocks to be wired together. Logic blocks can be configured to perform complex combinational functions, or act as simple logic gates like AND and XOR. In most FPGAs, logic blocks also include memory elements, which may be simple flip-flops or more complete blocks of memory. Many FPGAs can be reprogrammed to implement different logic functions, allowing flexible reconfigurable computing as performed in computer software. FPGAs have a remarkable role in embedded system development due to their capability to start system software development simultaneously with hardware, enable system performance simulations at a very early phase of the development, and allow various system trials and design iterations before finalizing the system architecture.before finalizing the system architecture.
http://dbpedia.org/ontology/thumbnail http://commons.wikimedia.org/wiki/Special:FilePath/Altera_StratixIVGX_FPGA.jpg?width=300 +
http://dbpedia.org/ontology/wikiPageID 10969
http://dbpedia.org/ontology/wikiPageLength 53009
http://dbpedia.org/ontology/wikiPageRevisionID 1124506118
http://dbpedia.org/ontology/wikiPageWikiLink http://dbpedia.org/resource/Achronix + , http://dbpedia.org/resource/Multiplexer + , http://dbpedia.org/resource/Reconfigurable_computing + , http://dbpedia.org/resource/FPGA_Mezzanine_Card + , http://dbpedia.org/resource/Lookup_table + , http://dbpedia.org/resource/SerDes + , http://dbpedia.org/resource/High-_and_low-level + , http://dbpedia.org/resource/Non-recurring_engineering + , http://dbpedia.org/resource/Routing + , http://dbpedia.org/resource/Phase-locked_loop + , http://dbpedia.org/resource/Differential_signaling + , http://dbpedia.org/resource/Delay-locked_loop + , http://dbpedia.org/resource/Naval_Surface_Warfare_Center + , http://dbpedia.org/resource/Time_to_market + , http://dbpedia.org/resource/Clock_domain + , http://dbpedia.org/resource/Voltage-controlled_oscillator + , http://dbpedia.org/resource/Microsoft_Azure + , http://dbpedia.org/resource/Dual-ported_RAM + , http://dbpedia.org/resource/SmartFusion + , http://dbpedia.org/resource/Single_event_upset + , http://dbpedia.org/resource/28_nm + , http://dbpedia.org/resource/AND_gate + , http://dbpedia.org/resource/Conventional_PCI + , http://dbpedia.org/resource/Category:OpenCL_compute_devices + , http://dbpedia.org/resource/AI_accelerator + , http://dbpedia.org/resource/Processor_core + , http://dbpedia.org/resource/Electric_energy_consumption + , http://dbpedia.org/resource/FPGA_prototyping + , http://dbpedia.org/resource/Cloud_computing + , http://dbpedia.org/resource/Register-transfer_level + , http://dbpedia.org/resource/Synchronous_circuit + , http://dbpedia.org/resource/Coupling_%28electronics%29 + , http://dbpedia.org/resource/Dual_core + , http://dbpedia.org/resource/Semiconductor_intellectual_property_core + , http://dbpedia.org/resource/OpenCL + , http://dbpedia.org/resource/Actel + , http://dbpedia.org/resource/Category:Gate_arrays + , http://dbpedia.org/resource/Joint_Test_Action_Group + , http://dbpedia.org/resource/Transistor + , http://dbpedia.org/resource/OpenCores + , http://dbpedia.org/resource/High-level_synthesis + , http://dbpedia.org/resource/Boolean_function + , http://dbpedia.org/resource/List_of_HDL_simulators + , http://dbpedia.org/resource/Computer_configuration + , http://dbpedia.org/resource/Line_code + , http://dbpedia.org/resource/Backdoor_%28computing%29 + , http://dbpedia.org/resource/Embedded_microprocessor + , http://dbpedia.org/resource/Verification_and_validation + , http://dbpedia.org/resource/Xilinx_ISE + , http://dbpedia.org/resource/Circuit_utilization + , http://dbpedia.org/resource/Telecommunication + , http://dbpedia.org/resource/Crystal_oscillator + , http://dbpedia.org/resource/CMOS + , http://dbpedia.org/resource/EEPROM + , http://dbpedia.org/resource/Altera + , http://dbpedia.org/resource/Comparator + , http://dbpedia.org/resource/Abstraction_level + , http://dbpedia.org/resource/Heterogeneous_computing + , http://dbpedia.org/resource/Binary_multiplier + , http://dbpedia.org/resource/Microsemi + , http://dbpedia.org/resource/Intel_Quartus_Prime + , http://dbpedia.org/resource/LabVIEW + , http://dbpedia.org/resource/Physical_unclonable_function + , http://dbpedia.org/resource/Logic_optimization + , http://dbpedia.org/resource/Combinational_logic + , http://dbpedia.org/resource/CPU_architecture + , http://dbpedia.org/resource/Metastability_%28electronics%29 + , http://dbpedia.org/resource/Design_flow_%28EDA%29 + , http://dbpedia.org/resource/Floorplan_%28microelectronics%29 + , http://dbpedia.org/resource/Rent%27s_rule + , http://dbpedia.org/resource/Clock_signal + , http://dbpedia.org/resource/Flash_memory + , http://dbpedia.org/resource/Shannon_expansion + , http://dbpedia.org/resource/Bing_%28search_engine%29 + , http://dbpedia.org/resource/Hardware_security + , http://dbpedia.org/resource/Category:American_inventions + , http://dbpedia.org/resource/C_to_HDL + , http://dbpedia.org/resource/Bus_%28computing%29 + , http://dbpedia.org/resource/PCI_Express + , http://dbpedia.org/resource/Time-division_multiplexing + , http://dbpedia.org/resource/Category:Field-programmable_gate_arrays + , http://dbpedia.org/resource/Advanced_Micro_Devices + , http://dbpedia.org/resource/Field-programmable_analog_array + , http://dbpedia.org/resource/Netlist + , http://dbpedia.org/resource/SystemVerilog + , http://dbpedia.org/resource/Reset_%28computing%29 + , http://dbpedia.org/resource/Fuse_%28electrical%29 + , http://dbpedia.org/resource/Proprietary_software + , http://dbpedia.org/resource/Assembly_language + , http://dbpedia.org/resource/Three-dimensional_integrated_circuit + , http://dbpedia.org/resource/Bug_%28computer_programming%29 + , http://dbpedia.org/resource/Compiling + , http://dbpedia.org/resource/Register_%28computing%29 + , http://dbpedia.org/resource/Atmel_AVR + , http://dbpedia.org/resource/Computer_memory + , http://dbpedia.org/resource/Gigabyte_Technology + , http://dbpedia.org/resource/Gigahertz + , http://dbpedia.org/resource/Programmable_system-on-chip + , http://dbpedia.org/resource/Authentication + , http://dbpedia.org/resource/Functional_unit + , http://dbpedia.org/resource/Computability + , http://dbpedia.org/resource/Field-programmability + , http://dbpedia.org/resource/Access_key + , http://dbpedia.org/resource/Latency_%28engineering%29 + , http://dbpedia.org/resource/List_of_Xilinx_FPGAs + , http://dbpedia.org/resource/Xilinx + , http://dbpedia.org/resource/Category:Semiconductor_devices + , http://dbpedia.org/resource/Electrical_resonance + , http://dbpedia.org/resource/Hardware_acceleration + , http://dbpedia.org/resource/Medium_access_control + , http://dbpedia.org/resource/Vertical_application + , http://dbpedia.org/resource/Jitter + , http://dbpedia.org/resource/Hardware_security_module + , http://dbpedia.org/resource/XOR_gate + , http://dbpedia.org/resource/Digital_signal_processing + , http://dbpedia.org/resource/Adder_%28electronics%29 + , http://dbpedia.org/resource/Propagation_delay + , http://dbpedia.org/resource/Integrated_circuit + , http://dbpedia.org/resource/Encryption + , http://dbpedia.org/resource/Flip-flop_%28electronics%29 + , http://dbpedia.org/resource/Crossbar_switch + , http://dbpedia.org/resource/Vulnerability_%28computing%29 + , http://dbpedia.org/resource/Digital-to-analog_converter + , http://dbpedia.org/resource/Semiconductor_device_fabrication + , http://dbpedia.org/resource/Ethernet + , http://dbpedia.org/resource/Circuit_diagram + , http://dbpedia.org/resource/Printed_circuit_board + , http://dbpedia.org/resource/National_Instruments + , http://dbpedia.org/resource/Schematic + , http://dbpedia.org/resource/Altium + , http://dbpedia.org/resource/Gate_array + , http://dbpedia.org/resource/Computer_performance + , http://dbpedia.org/resource/Multi-gigabit_transceiver + , http://dbpedia.org/resource/Digital_signal_processor + , http://dbpedia.org/resource/Canonical_normal_form + , http://dbpedia.org/resource/Partial_re-configuration + , http://dbpedia.org/resource/Lattice_Semiconductor + , http://dbpedia.org/resource/Antifuse + , http://dbpedia.org/resource/File:Xilinx_Zynq-7000_AP_SoC.jpg + , http://dbpedia.org/resource/GOWIN_Semiconductors + , http://dbpedia.org/resource/File:FPGA_cell_example.png + , http://dbpedia.org/resource/File:Xerox_ColorQube_8570_-_Main_controller_-_Xilinx_Spartan_XC3S400A-0205.jpg + , http://dbpedia.org/resource/Efinix + , http://dbpedia.org/resource/File:Altera_StratixIVGX_FPGA.jpg + , http://dbpedia.org/resource/I/O_address + , http://dbpedia.org/resource/Doi:10.1145/3410669 + , http://dbpedia.org/resource/Application-Specific_Integrated_Circuit + , http://dbpedia.org/resource/BSD_license + , http://dbpedia.org/resource/FIFO_%28computing_and_electronics%29 + , http://dbpedia.org/resource/Parallel_computing + , http://dbpedia.org/resource/Clock_frequency + , http://dbpedia.org/resource/Microprocessor + , http://dbpedia.org/resource/EPROM + , http://dbpedia.org/resource/Clock_skew + , http://dbpedia.org/resource/Programmable_logic_device + , http://dbpedia.org/resource/Logic_synthesis + , http://dbpedia.org/resource/Tabula_%28company%29 + , http://dbpedia.org/resource/Category:Integrated_circuits + , http://dbpedia.org/resource/Serial_communication + , http://dbpedia.org/resource/Static_random-access_memory + , http://dbpedia.org/resource/Electronic_design_automation + , http://dbpedia.org/resource/Analog-to-digital_converter + , http://dbpedia.org/resource/Glue_logic + , http://dbpedia.org/resource/Microchip_Technology + , http://dbpedia.org/resource/Hardware_description_language + , http://dbpedia.org/resource/Back_annotation + , http://dbpedia.org/resource/Place_and_route + , http://dbpedia.org/resource/Computer_network + , http://dbpedia.org/resource/Data_center + , http://dbpedia.org/resource/Xilinx_Vivado + , http://dbpedia.org/resource/Artificial_neural_network + , http://dbpedia.org/resource/Machine_learning + , http://dbpedia.org/resource/Communications_subsystem + , http://dbpedia.org/resource/Module_system + , http://dbpedia.org/resource/Hardware_design + , http://dbpedia.org/resource/Embedded_system + , http://dbpedia.org/resource/Nios_II + , http://dbpedia.org/resource/Central_processing_unit + , http://dbpedia.org/resource/Atmel + , http://dbpedia.org/resource/Category:Hardware_acceleration + , http://dbpedia.org/resource/Programmable_read-only_memory + , http://dbpedia.org/resource/Booting + , http://dbpedia.org/resource/Slew_rate + , http://dbpedia.org/resource/MicroBlaze + , http://dbpedia.org/resource/Soft_microprocessor + , http://dbpedia.org/resource/Open-source_hardware + , http://dbpedia.org/resource/System_on_a_chip + , http://dbpedia.org/resource/Peripheral + , http://dbpedia.org/resource/Radiation_hardening + , http://dbpedia.org/resource/Computer_architecture + , http://dbpedia.org/resource/VHDL + , http://dbpedia.org/resource/Advanced_Encryption_Standard + , http://dbpedia.org/resource/Software + , http://dbpedia.org/resource/Linux + , http://dbpedia.org/resource/Subsidiary + , http://dbpedia.org/resource/SiliconBlue_Technologies + , http://dbpedia.org/resource/Microsoft_Windows + , http://dbpedia.org/resource/Application-specific_integrated_circuit + , http://dbpedia.org/resource/Mixed-signal_integrated_circuit + , http://dbpedia.org/resource/LatticeMico32 + , http://dbpedia.org/resource/Verilog + , http://dbpedia.org/resource/Programmable_logic_devices + , http://dbpedia.org/resource/Energy_consumption + , http://dbpedia.org/resource/Clock_domain_crossing + , http://dbpedia.org/resource/ARM_Cortex-A9 + , http://dbpedia.org/resource/Systolic_array + , http://dbpedia.org/resource/Bitstream + , http://dbpedia.org/resource/Interposer + , http://dbpedia.org/resource/Complex_programmable_logic_device + , http://dbpedia.org/resource/Timing_analysis + , http://dbpedia.org/resource/Non-volatile_memory + , http://dbpedia.org/resource/Asynchronous_circuit + , http://dbpedia.org/resource/Performance_per_watt + , http://dbpedia.org/resource/Logic_gate + , http://dbpedia.org/resource/Instant-on + , http://dbpedia.org/resource/Low-power_electronics + , http://dbpedia.org/resource/Memory_cell_%28computing%29 + , http://dbpedia.org/resource/Logic_block + , http://dbpedia.org/resource/Free_and_open-source_software + , http://dbpedia.org/resource/Test_bench + , http://dbpedia.org/resource/GNU_General_Public_License + , http://dbpedia.org/resource/Simulation +
http://dbpedia.org/property/date December 2018
http://dbpedia.org/property/id gUsHwi4M4xE
http://dbpedia.org/property/title What is an FPGA?
http://dbpedia.org/property/wikiPageUsesTemplate http://dbpedia.org/resource/Template:When + , http://dbpedia.org/resource/Template:See + , http://dbpedia.org/resource/Template:By_whom + , http://dbpedia.org/resource/Template:Main + , http://dbpedia.org/resource/Template:Cite_book + , http://dbpedia.org/resource/Template:Digital_systems + , http://dbpedia.org/resource/Template:Portal + , http://dbpedia.org/resource/Template:Failed_verification + , http://dbpedia.org/resource/Template:Use_American_English + , http://dbpedia.org/resource/Template:Redirect-distinguish + , http://dbpedia.org/resource/Template:Authority_control + , http://dbpedia.org/resource/Template:Snd + , http://dbpedia.org/resource/Template:Clarify + , http://dbpedia.org/resource/Template:See_also + , http://dbpedia.org/resource/Template:Electronic_components + , http://dbpedia.org/resource/Template:Citation_needed_span + , http://dbpedia.org/resource/Template:Programmable_Logic + , http://dbpedia.org/resource/Template:Short_description + , http://dbpedia.org/resource/Template:Semiconductor_packages + , http://dbpedia.org/resource/Template:Hardware_acceleration + , http://dbpedia.org/resource/Template:Circa + , http://dbpedia.org/resource/Template:Cite_journal + , http://dbpedia.org/resource/Template:Cn + , http://dbpedia.org/resource/Template:YouTube + , http://dbpedia.org/resource/Template:As_of + , http://dbpedia.org/resource/Template:Reflist +
http://purl.org/dc/terms/subject http://dbpedia.org/resource/Category:Integrated_circuits + , http://dbpedia.org/resource/Category:Gate_arrays + , http://dbpedia.org/resource/Category:American_inventions + , http://dbpedia.org/resource/Category:Semiconductor_devices + , http://dbpedia.org/resource/Category:Field-programmable_gate_arrays + , http://dbpedia.org/resource/Category:OpenCL_compute_devices + , http://dbpedia.org/resource/Category:Hardware_acceleration +
http://purl.org/linguistics/gold/hypernym http://dbpedia.org/resource/Circuit +
http://www.w3.org/ns/prov#wasDerivedFrom http://en.wikipedia.org/wiki/Field-programmable_gate_array?oldid=1124506118&ns=0 +
http://xmlns.com/foaf/0.1/depiction http://commons.wikimedia.org/wiki/Special:FilePath/Altera_StratixIVGX_FPGA.jpg + , http://commons.wikimedia.org/wiki/Special:FilePath/Xilinx_Zynq-7000_AP_SoC.jpg + , http://commons.wikimedia.org/wiki/Special:FilePath/Xerox_ColorQube_8570_-_Main_controller_-_Xilinx_Spartan_XC3S400A-0205.jpg + , http://commons.wikimedia.org/wiki/Special:FilePath/FPGA_cell_example.png +
http://xmlns.com/foaf/0.1/isPrimaryTopicOf http://en.wikipedia.org/wiki/Field-programmable_gate_array +
owl:differentFrom http://dbpedia.org/resource/Flip-chip_pin_grid_array +
owl:sameAs http://www.wikidata.org/entity/Q190411 + , http://sv.dbpedia.org/resource/Field-programmable_gate_array + , http://dbpedia.org/resource/Field-programmable_gate_array + , http://fa.dbpedia.org/resource/%D9%85%D8%AF%D8%A7%D8%B1_%D9%85%D8%AC%D8%AA%D9%85%D8%B9_%D8%AF%DB%8C%D8%AC%DB%8C%D8%AA%D8%A7%D9%84_%D8%A8%D8%B1%D9%86%D8%A7%D9%85%D9%87%E2%80%8C%D9%BE%D8%B0%DB%8C%D8%B1 + , http://bar.dbpedia.org/resource/Field_Programmable_Gate_Array + , http://it.dbpedia.org/resource/Field_Programmable_Gate_Array + , http://hi.dbpedia.org/resource/%E0%A4%8F%E0%A4%AB%E0%A4%AA%E0%A5%80%E0%A4%9C%E0%A5%80%E0%A4%8F + , http://es.dbpedia.org/resource/Field-programmable_gate_array + , http://bg.dbpedia.org/resource/FPGA + , http://nn.dbpedia.org/resource/FPGA + , http://sk.dbpedia.org/resource/Field_programmable_gate_array + , http://hu.dbpedia.org/resource/Field-programmable_gate_array + , https://global.dbpedia.org/id/pFcG + , http://ro.dbpedia.org/resource/FPGA + , http://pl.dbpedia.org/resource/Bezpo%C5%9Brednio_programowalna_macierz_bramek + , http://de.dbpedia.org/resource/Field_Programmable_Gate_Array + , http://el.dbpedia.org/resource/FPGA + , http://gl.dbpedia.org/resource/Field-programmable_gate_array + , http://ko.dbpedia.org/resource/FPGA + , http://da.dbpedia.org/resource/Field-Programmable_Gate_Array + , http://simple.dbpedia.org/resource/Field-programmable_gate_array + , http://nl.dbpedia.org/resource/Field-programmable_gate_array + , http://he.dbpedia.org/resource/FPGA + , http://zh.dbpedia.org/resource/%E7%8E%B0%E5%9C%BA%E5%8F%AF%E7%BC%96%E7%A8%8B%E9%80%BB%E8%BE%91%E9%97%A8%E9%98%B5%E5%88%97 + , http://id.dbpedia.org/resource/FPGA + , http://eu.dbpedia.org/resource/Ate-matrize_programagarri + , http://vi.dbpedia.org/resource/FPGA + , http://et.dbpedia.org/resource/FPGA + , http://ru.dbpedia.org/resource/%D0%9F%D1%80%D0%BE%D0%B3%D1%80%D0%B0%D0%BC%D0%BC%D0%B8%D1%80%D1%83%D0%B5%D0%BC%D0%B0%D1%8F_%D0%BF%D0%BE%D0%BB%D1%8C%D0%B7%D0%BE%D0%B2%D0%B0%D1%82%D0%B5%D0%BB%D0%B5%D0%BC_%D0%B2%D0%B5%D0%BD%D1%82%D0%B8%D0%BB%D1%8C%D0%BD%D0%B0%D1%8F_%D0%BC%D0%B0%D1%82%D1%80%D0%B8%D1%86%D0%B0 + , http://uk.dbpedia.org/resource/FPGA + , http://tr.dbpedia.org/resource/Alanda_programlanabilir_kap%C4%B1_dizisi + , http://yago-knowledge.org/resource/Field-programmable_gate_array + , http://sr.dbpedia.org/resource/FPGA + , http://pt.dbpedia.org/resource/Arranjo_de_porta_program%C3%A1vel_em_campo + , http://bn.dbpedia.org/resource/%E0%A6%AB%E0%A6%BF%E0%A6%B2%E0%A7%8D%E0%A6%A1-%E0%A6%AA%E0%A7%8D%E0%A6%B0%E0%A7%8B%E0%A6%97%E0%A7%8D%E0%A6%B0%E0%A6%BE%E0%A6%AE%E0%A7%87%E0%A6%AC%E0%A6%B2_%E0%A6%97%E0%A7%87%E0%A6%87%E0%A6%9F_%E0%A6%85%E0%A7%8D%E0%A6%AF%E0%A6%BE%E0%A6%B0%E0%A7%87 + , http://ca.dbpedia.org/resource/Matriu_de_portes_programable_in_situ + , http://tl.dbpedia.org/resource/FPGA + , http://ja.dbpedia.org/resource/FPGA + , http://cs.dbpedia.org/resource/Programovateln%C3%A9_hradlov%C3%A9_pole + , http://fi.dbpedia.org/resource/FPGA + , http://rdf.freebase.com/ns/m.02ytr + , http://ml.dbpedia.org/resource/%E0%B4%AB%E0%B5%80%E0%B5%BD%E0%B4%A1%E0%B5%8D-%E0%B4%AA%E0%B5%8D%E0%B4%B0%E0%B5%8B%E0%B4%97%E0%B5%8D%E0%B4%B0%E0%B4%BE%E0%B4%AE%E0%B5%8D%E0%B4%AE%E0%B5%87%E0%B4%AC%E0%B4%BF%E0%B5%BE_%E0%B4%97%E0%B5%87%E0%B4%B1%E0%B5%8D%E0%B4%B1%E0%B5%8D_%E0%B4%85%E0%B4%B1%E0%B5%87 + , http://th.dbpedia.org/resource/%E0%B9%80%E0%B8%AD%E0%B8%9F%E0%B8%9E%E0%B8%B5%E0%B8%88%E0%B8%B5%E0%B9%80%E0%B8%AD + , http://eo.dbpedia.org/resource/Agordebla_Matrico_de_Logikaj_Elementoj + , http://no.dbpedia.org/resource/FPGA + , http://mk.dbpedia.org/resource/FPGA + , http://ar.dbpedia.org/resource/%D9%85%D8%B5%D9%81%D9%88%D9%81%D8%A9_%D8%A7%D9%84%D8%A8%D9%88%D8%A7%D8%A8%D8%A7%D8%AA_%D8%A7%D9%84%D9%85%D9%86%D8%B7%D9%82%D9%8A%D8%A9_%D8%A7%D9%84%D9%82%D8%A7%D8%A8%D9%84%D8%A9_%D9%84%D9%84%D8%A8%D8%B1%D9%85%D8%AC%D8%A9 + , http://d-nb.info/gnd/4347749-5 +
rdf:type http://dbpedia.org/class/yago/Circuit103033362 + , http://dbpedia.org/class/yago/Artifact100021939 + , http://dbpedia.org/class/yago/ElectricalDevice103269401 + , http://dbpedia.org/class/yago/Instrumentality103575240 + , http://dbpedia.org/class/yago/PhysicalEntity100001930 + , http://dbpedia.org/class/yago/Whole100003553 + , http://dbpedia.org/class/yago/WikicatSemiconductorDevices + , http://dbpedia.org/class/yago/Object100002684 + , http://dbpedia.org/class/yago/Conductor103088707 + , http://dbpedia.org/class/yago/SemiconductorDevice104171831 + , http://dbpedia.org/class/yago/IntegratedCircuit103577090 + , http://dbpedia.org/class/yago/ComputerCircuit103084420 + , http://dbpedia.org/class/yago/WikicatIntegratedCircuits + , http://dbpedia.org/ontology/BaseballLeague + , http://dbpedia.org/class/yago/Device103183080 +
rdfs:comment Програмована користувачем вентильна матрицПрограмована користувачем вентильна матриця, ПКВМ (англ. Field-Programmable Gate Array, FPGA) — напівпровідниковий пристрій, що може бути налаштований виробником або розробником після виготовлення; звідси назва: «програмується користувачем». ПКВМ програмуються шляхом зміни логіки роботи принципової схеми, наприклад, за допомогою вихідного коду мовою проектування (типу VHDL), на якому можна описати цю логіку роботи мікросхеми. ПКВМ є однією з архітектурних різновидів програмованих логічних інтегральних схем (ПЛІС).мованих логічних інтегральних схем (ПЛІС). , Bezpośrednio programowalna macierz bramek Bezpośrednio programowalna macierz bramek (od ang. field-programmable gate array, FPGA) – rodzaj programowalnego układu logicznego. Dla projektanta ma funkcjonalność taką samą jak specjalizowany układ scalony, jednak może być wielokrotnie programowany bez demontażu, po jego wytworzeniu i zainstalowaniu w urządzeniu docelowym. FPGA to jedna z dwóch rodzin programowalnych cyfrowych układów logicznych o dużym stopniu scalenia – drugą grupę stanowią układy CPLD (ang. complex programmable logic device) o nieco innej architekturze. Największymi dostawcami tego typu są firmy Altera i Xilinx, a także , Atmel, , , .my Altera i Xilinx, a także , Atmel, , , . , Een field-programmable gate array (FPGA) iEen field-programmable gate array (FPGA) is een geïntegreerde schakeling bestaande uit programmeerbare logische componenten. Deze logische componenten kunnen geprogrammeerd worden als logische functies zoals AND, XOR enzovoorts. Deze functies kunnen bijvoorbeeld decoders of eenvoudige wiskundige functies zijn. In het merendeel van de FPGA's kan men ook logische elementen terugvinden in de logische blokken.menten terugvinden in de logische blokken. , Программи́руемая по́льзователем ве́нтильнаПрограмми́руемая по́льзователем ве́нтильная ма́трица (ППВМ, англ. field-programmable gate array, FPGA) — полупроводниковое устройство, которое может быть сконфигурировано производителем или разработчиком после изготовления; наиболее сложная по организации разновидность программируемых логических интегральных схем.граммируемых логических интегральных схем. , 現場可程式化邏輯閘陣列(英語:Field Programmable Gate Array,縮寫為FPGA),它以PAL、GAL、CPLD等可编程逻辑器件為技術基礎發展而成。作為特殊应用集成电路中的一种半定制电路,它既彌補全定制電路不足,又克服原有可编程逻辑控制器邏輯閘數有限的缺點。 , FPGA(英: field-programmable gate array)は、製造後に購入者や設計者が構成を設定できる集積回路であり、広義にはPLD(プログラマブルロジックデバイス)の一種である。現場でプログラム可能なゲートアレイであることから、このように呼ばれている。 , FPGA(field programmable gate array, 필드 프로그FPGA(field programmable gate array, 필드 프로그래머블 게이트 어레이)는 설계 가능 논리 소자와 프로그래밍이 가능한 내부 회로가 포함된 반도체 소자이다. 설계 가능 논리 소자는 AND, OR, XOR, NOT, 더 복잡한 디코더나 계산기능의 조합 기능같은 기본적인 논리 게이트의 기능을 복제하여 프로그래밍할 수 있다. 대부분의 FPGA는 프로그래밍 가능 논리 요소 (FPGA 식으로는 논리 블록이라고도 함)에 간단한 플립플롭이나 더 완벽한 메모리 블록으로 된 메모리 요소를 포함하고 있다. 프로그램이 가능한 내부선 계층구조는 FPGA의 논리블록을 시스템 설계자가 요구하는 대로 단일 칩 프로그래밍가능 브레드보드처럼 내부연결을 할 수 있다. 이 논리블록과 내부선은 제조공정 이후에 소비자/설계자가 프로그램할 수 있으므로 요구되는 어떠한 논리기능도 수행할 수 있다.(그러한 이유로 "현장 프로그래머블")는 어떠한 논리기능도 수행할 수 있다.(그러한 이유로 "현장 프로그래머블") , Field-Programmable Gate Array (förkortat FField-Programmable Gate Array (förkortat FPGA, vilket kan översättas med 'på-plats-programmerbar grindmatris'), är en integrerad krets som används inom digitalteknik, vars fysiska funktion kan ändras genom att ny programmering översänds genom anslutning av en enkel kabel.rsänds genom anslutning av en enkel kabel. , Ate-matrize programagarria edo FPGA (ingelAte-matrize programagarria edo FPGA (ingelesez: Field-programmable gate array) delakoa, ate-multzo programagarriak dituen zirkuitu integratua da. Hauek (HDL) bidez konfiguratu ohi dira. Ate-matrize programagarriak bloke logiko programagarriz osatuta daude. Blokeen arteko interkonexioak konfigura daitezke. Ondorioz, ate logikoak baino zailagoak diren funtzio konbinazionalak lor daitezke. Gainera, FPGA gehienek memoria-elementuak ere badituzte, dela biegonkorrak, edo dela memoria-blokeak.la biegonkorrak, edo dela memoria-blokeak. , Una matriz de puertas lógicas programable Una matriz de puertas lógicas programable en campo​​ o FPGA (del inglés field-programmable gate array), es un dispositivo programable que contiene bloques de lógica cuya interconexión y funcionalidad puede ser configurada en el momento, mediante un especializado. La lógica programable puede reproducir desde funciones tan sencillas como las llevadas a cabo por una puerta lógica o un sistema combinacional hasta complejos sistemas en un chip.ional hasta complejos sistemas en un chip. , Una matriu de portes programable in situ (Una matriu de portes programable in situ (FPGA, sigles angleses de Field-Programmable Gate Array) és un dispositiu semiconductor que conté blocs de lògica la interconnexió i funcionalitat dels quals pot ser configurada 'in situ' mitjançant un llenguatge de programació especialitzat. La lògica programable pot reproduir des de funcions tan senzilles com les que realitza una porta lògica fins a sistemes complexos en un xip. Històricament les FPGAs sorgeixen com una evolució dels conceptes desenvolupats en les PLAs i els CPLD.ptes desenvolupats en les PLAs i els CPLD. , Ein FPGA (Akronym für Field Programmable GEin FPGA (Akronym für Field Programmable Gate Array) ist ein integrierter Schaltkreis (IC) der Digitaltechnik, in welchen eine logische Schaltung geladen werden kann. Die Bezeichnung kann übersetzt werden als im Feld (also vor Ort, beim Kunden) programmierbare (Logik-)Gatter-Anordnung. FPGAs stellen eine Weiterentwicklung der PLDs dar und kommen in vielen Gebieten der digitalen Elektronik zum Einsatz.eten der digitalen Elektronik zum Einsatz. , Το FPGA ή Field Programmable Gate Array ή Το FPGA ή Field Programmable Gate Array ή συστοιχία επιτόπια προγραμματιζόμενων πυλών είναι τύπος προγραμματιζόμενου ολοκληρωμένου κυκλώματος γενικής χρήσης το οποίο διαθέτει πολύ μεγάλο αριθμό τυποποιημένων πυλών και άλλων ψηφιακών λειτουργιών όπως απαριθμητές, καταχωρητές μνήμης, γεννήτριες PLL κα. Σε ορισμένα από αυτά ενσωματώνονται και αναλογικές λειτουργίες. Κατά τον προγραμματισμό του FPGA, ο οποίος γίνεται πάντοτε ενώ αυτό είναι τοποθετημένο στο , ενεργοποιούνται οι επιθυμητές λειτουργίες και διασυνδέονται μεταξύ τους έτσι ώστε το FPGA να συμπεριφέρεται ως ολοκληρωμένο κύκλωμα με συγκεκριμένη λειτουργία.ρωμένο κύκλωμα με συγκεκριμένη λειτουργία. , مصفوفة البوابات المنطقية القابلة للبرمجة (مصفوفة البوابات المنطقية القابلة للبرمجة (بالإنجليزية: (Field Programmable Gate Array (FPGA)‏ هي دائرة متكاملة صممت ليتم بواسطتها تعديل أو تصميم أنظمة متكاملة حتى بعد عملية التصنيع دون الحاجة لإعادة تجميع النظام أو المنتج، لذلك أخذت التسمية القابلة للبرمجة في الميدان أو Field-Programmable. بشكل عام يتم التصميم في الـ FPGA باستخدام ما يسمى بلغة وصف العتاد Hardware Description Language وهي مشابهة جداً لتلك التي يتم استخدامها في تصميم الدوائر المتكاملة ذات التطبيق المحدد (Application-Specific Integrated Circuit) أو ASIC وهي دوائر متكاملة صممت لغرض معين ولا يمكن استخدامها إلا للتطبيق الذي صممت من أجله مثل دوائر ترميز الصوت والصورة، أو دوائر التحويل التناظري-الرقمي (ADC).ة، أو دوائر التحويل التناظري-الرقمي (ADC). , Agordebla Matrico de Logikaj Elementoj aŭ Agordebla Matrico de Logikaj Elementoj aŭ AMLE (angle field-programmable gate array (FPGA)) estas integra cirkvito, kies funkciado estas reagordebla kaj konfigurebla far uzanto. La konfigurado de AMLE estas farata per speciala programlingvo (HDL). Pli frue oni ankaŭ uzis , sed nun tiu maniero priskribi konfiguron estas nun tre rara.o priskribi konfiguron estas nun tre rara. , Programovatelná hradlová pole (FPGA, angliProgramovatelná hradlová pole (FPGA, anglicky Field Programmable Gate Array) je v elektronice typ logického integrovaného obvodu, který je vyroben tak, aby mohl být naprogramován až u zákazníka. Obsahuje pole programovatelných logických obvodů (PLD), logických bloků, umožňuje je navzájem propojit a tím vytvořit takřka libovolné číslicové zařízení (například mikroprocesor, řídicí obvod síťové karty a podobně). Tím se odlišuje od zákaznických integrovaných obvodů (ASIC), jejichž funkce je dána již při výrobě.C), jejichž funkce je dána již při výrobě. , A field-programmable gate array (FPGA) is A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturing – hence the term field-programmable. The FPGA configuration is generally specified using a hardware description language (HDL), similar to that used for an application-specific integrated circuit (ASIC). Circuit diagrams were previously used to specify the configuration, but this is increasingly rare due to the advent of electronic design automation tools.ent of electronic design automation tools. , Um arranjo de porta programável em campo, Um arranjo de porta programável em campo, em inglês field programmable gate array (sigla FPGA), é um circuito integrado projetado para ser configurado por um consumidor ou projetista após a fabricação – de onde advém "programável em campo". A grande maioria dos chips que encontramos em nosso dia-a-dia, circuitos que acompanham as televisões, celulares, etc., já vêm todos pré-programados (ASIC), isto é, com as suas funcionalidades todas definidas no ato de fabricação. Surgiu então uma categoria nova de hardware reconfigurável, o qual têm as suas funcionalidades definidas exclusivamente pelos usuários e não pelos fabricantes.te pelos usuários e não pelos fabricantes. , Field-Programmable Gate Array (FPGA) merupField-Programmable Gate Array (FPGA) merupakan sebuah IC digital yang sering digunakan untuk mengimplementasikan rangkaian digital. FPGA berbentuk komponen elektronika dan semikonduktor yang terdiri dari komponen gerbang terprogram dan sambungan terprogram (interkoneksi). Komponen gerbang terprogram yang dimiliki meliputi jenis gerbang logika biasa maupun jenis fungsi matematis dan kombinatorik yang lebih kompleks, seperti decoder, adder, subtractor, multiplier, dll. Blok-blok komponen di dalam FPGA bisa juga mengandung elemen memori (register) mulai dari flip-flop sampai pada RAM (Random Access Memory). FPGA sangat sesuai untuk pemrosesan komputasi dari algoritme . Keuntungan implementasi FPGA digunakan untuk meningkatkan efisiensi rancangan dengan cara mengurangi pemakaian pncangan dengan cara mengurangi pemakaian p , Un "Field Programmable Gate Array" (solitaUn "Field Programmable Gate Array" (solitamente abbreviato in FPGA), in elettronica digitale, è un dispositivo logico programmabile ovvero genericamente un dispositivo hardware elettronico formato da un circuito integrato le cui funzionalità logiche di elaborazione sono appositamente programmabili e modificabili tramite opportuni linguaggi di descrizione hardware.portuni linguaggi di descrizione hardware.
rdfs:label Agordebla Matrico de Logikaj Elementoj , Ate-matrize programagarri , FPGA , مصفوفة البوابات المنطقية القابلة للبرمجة , Field Programmable Gate Array , Field-programmable gate array , Программируемая пользователем вентильная матрица , Programovatelné hradlové pole , 现场可编程逻辑门阵列 , Bezpośrednio programowalna macierz bramek , Matriu de portes programable in situ , Arranjo de porta programável em campo
rdfs:seeAlso http://dbpedia.org/resource/Hardware_acceleration +
hide properties that link here 
http://dbpedia.org/resource/Robert_Zeidman + , http://dbpedia.org/resource/Abbas_El_Gamal + http://dbpedia.org/ontology/knownFor
http://dbpedia.org/resource/PGA + http://dbpedia.org/ontology/wikiPageDisambiguates
http://dbpedia.org/resource/FPGA + , http://dbpedia.org/resource/Field-programmable_gate_arrays + , http://dbpedia.org/resource/Field_Programmable_Gate_Array + , http://dbpedia.org/resource/Field-Programmable_Gate_Array + , http://dbpedia.org/resource/FPGAs + , http://dbpedia.org/resource/Fpga + , http://dbpedia.org/resource/FPGA_board + , http://dbpedia.org/resource/Programmable_Gate_Array + , http://dbpedia.org/resource/Programmable_gate_array + , http://dbpedia.org/resource/Field_programmable_gate_array + http://dbpedia.org/ontology/wikiPageRedirects
http://dbpedia.org/resource/LEON + , http://dbpedia.org/resource/Static_random-access_memory + , http://dbpedia.org/resource/Programmable_logic_device + , http://dbpedia.org/resource/OpenRISC + , http://dbpedia.org/resource/System_on_a_chip + , http://dbpedia.org/resource/FPGA + , http://dbpedia.org/resource/Soft_microprocessor + , http://dbpedia.org/resource/OpenSPARC + , http://dbpedia.org/resource/Atmel + , http://dbpedia.org/resource/Instruction_set_architecture + , http://dbpedia.org/resource/RISC-V + , http://dbpedia.org/resource/PowerPC + , http://dbpedia.org/resource/Xputer + , http://dbpedia.org/resource/Reconfigurable_computing + , http://dbpedia.org/resource/Julia_%28programming_language%29 + , http://dbpedia.org/resource/FAA_Order_8110.105 + , http://dbpedia.org/resource/Digital_signature_transponder + , http://dbpedia.org/resource/Computing_with_Memory + , http://dbpedia.org/resource/Field-programmable_gate_arrays + , http://dbpedia.org/resource/Intel_8051 + , http://dbpedia.org/resource/OPTOS + , http://dbpedia.org/resource/THEMIS + , http://dbpedia.org/resource/Handheld_game_console + , http://dbpedia.org/resource/PGA + , http://dbpedia.org/resource/Multidimensional_DSP_with_GPU_Acceleration + , http://dbpedia.org/resource/Lesley_Shannon + , http://dbpedia.org/resource/Field_Programmable_Gate_Array + , http://dbpedia.org/resource/Application-specific_integrated_circuit + , http://dbpedia.org/resource/Standard_RAID_levels + , http://dbpedia.org/resource/Data_scrubbing + , http://dbpedia.org/resource/JPMorgan_Chase + , http://dbpedia.org/resource/Digital_signal_processor + , http://dbpedia.org/resource/List_of_computing_and_IT_abbreviations + , http://dbpedia.org/resource/DARPA_Quantum_Network + , http://dbpedia.org/resource/Comparison_of_EDA_software + , http://dbpedia.org/resource/Zero_ASIC + , http://dbpedia.org/resource/Joseph_Sgro + , http://dbpedia.org/resource/Stream_processing + , http://dbpedia.org/resource/Field-programmable_object_array + , http://dbpedia.org/resource/Nanoelectronics + , http://dbpedia.org/resource/OneAPI_%28compute_acceleration%29 + , http://dbpedia.org/resource/XBC + , http://dbpedia.org/resource/Multi-core_processor + , http://dbpedia.org/resource/Intel + , http://dbpedia.org/resource/List_of_Linux-supported_computer_architectures + , http://dbpedia.org/resource/IntervalZero + , http://dbpedia.org/resource/Outline_of_electronics + , http://dbpedia.org/resource/Spirit_DataCine + , http://dbpedia.org/resource/Dogecoin + , http://dbpedia.org/resource/Electra_%28radio%29 + , http://dbpedia.org/resource/Arria_%28disambiguation%29 + , http://dbpedia.org/resource/Launch_Time + , http://dbpedia.org/resource/SHAKTI_%28microprocessor%29 + , http://dbpedia.org/resource/Deflate + , http://dbpedia.org/resource/Planar_%28computer_graphics%29 + , http://dbpedia.org/resource/Amiga + , http://dbpedia.org/resource/Litecoin + , http://dbpedia.org/resource/Cryptocurrency + , http://dbpedia.org/resource/Bitcoin + , http://dbpedia.org/resource/OpenMP + , http://dbpedia.org/resource/Andrew_Huang_%28hacker%29 + , http://dbpedia.org/resource/Deep_Blue_%28chess_computer%29 + , http://dbpedia.org/resource/WDC_65C02 + , http://dbpedia.org/resource/1541_Ultimate + , http://dbpedia.org/resource/OpenCores + , http://dbpedia.org/resource/Nanoelectromechanical_relay + , http://dbpedia.org/resource/XGBoost + , http://dbpedia.org/resource/SIRE_Radar + , http://dbpedia.org/resource/Libre-SOC + , http://dbpedia.org/resource/Complex_programmable_logic_device + , http://dbpedia.org/resource/Digital_signal_processing + , http://dbpedia.org/resource/MMIX + , http://dbpedia.org/resource/Massachusetts_Bay_Transportation_Authority_v._Anderson + , http://dbpedia.org/resource/Quantum_engineering + , http://dbpedia.org/resource/P4_%28programming_language%29 + , http://dbpedia.org/resource/RF_Engines + , http://dbpedia.org/resource/Plugboard + , http://dbpedia.org/resource/Espresso_heuristic_logic_minimizer + , http://dbpedia.org/resource/Scrypt + , http://dbpedia.org/resource/Connected-component_labeling + , http://dbpedia.org/resource/Data_Encryption_Standard + , http://dbpedia.org/resource/ANT_catalog + , http://dbpedia.org/resource/PowerPC_400 + , http://dbpedia.org/resource/ARM_Cortex-A9 + , http://dbpedia.org/resource/PicoChip + , http://dbpedia.org/resource/Compact_Muon_Solenoid + , http://dbpedia.org/resource/AT91CAP + , http://dbpedia.org/resource/General-purpose_input/output + , http://dbpedia.org/resource/Logic_simulation + , http://dbpedia.org/resource/PCF + , http://dbpedia.org/resource/History_of_general-purpose_CPUs + , http://dbpedia.org/resource/Tundra_Semiconductor + , http://dbpedia.org/resource/Analogue_Pocket + , http://dbpedia.org/resource/Orbit_Semiconductor + , http://dbpedia.org/resource/Faysal_Sohail + , http://dbpedia.org/resource/LC + , http://dbpedia.org/resource/Nallatech + , http://dbpedia.org/resource/Robert_Zeidman + , http://dbpedia.org/resource/James_Hoe + , http://dbpedia.org/resource/Stephen_Mathias_Trimberger + , http://dbpedia.org/resource/Fifth-generation_fighter + , http://dbpedia.org/resource/Pin_compatibility + , http://dbpedia.org/resource/Evolvable_hardware + , http://dbpedia.org/resource/Tsetlin_machine + , http://dbpedia.org/resource/Deep_learning_processor + , http://dbpedia.org/resource/Phoebe_%28computer%29 + , http://dbpedia.org/resource/FpgaC + , http://dbpedia.org/resource/Cray_XD1 + , http://dbpedia.org/resource/Ultra-Fast_Flash_Observatory_Pathfinder + , http://dbpedia.org/resource/Software-defined_mobile_network + , http://dbpedia.org/resource/Parallel_RAM + , http://dbpedia.org/resource/Lola_%28computing%29 + , http://dbpedia.org/resource/Discrete_logarithm_records + , http://dbpedia.org/resource/FPLA + , http://dbpedia.org/resource/Scott_Hauck + , http://dbpedia.org/resource/Analogue_Nt_mini + , http://dbpedia.org/resource/Convair + , http://dbpedia.org/resource/Logic_gate + , http://dbpedia.org/resource/Artificial_neural_network + , http://dbpedia.org/resource/BLAST_%28biotechnology%29 + , http://dbpedia.org/resource/PDP-8 + , http://dbpedia.org/resource/Gate_array + , http://dbpedia.org/resource/Spacewar%21 + , http://dbpedia.org/resource/Oberon_%28operating_system%29 + , http://dbpedia.org/resource/Allen_Telescope_Array + , http://dbpedia.org/resource/Array + , http://dbpedia.org/resource/Coprocessor + , http://dbpedia.org/resource/Placement_%28electronic_design_automation%29 + , http://dbpedia.org/resource/Lume-1 + , http://dbpedia.org/resource/Fast_inverse_square_root + , http://dbpedia.org/resource/Programmable_logic_array + , http://dbpedia.org/resource/Astronomical_Calculation_Institute_%28Heidelberg_University%29 + , http://dbpedia.org/resource/Parallel_multidimensional_digital_signal_processing + , http://dbpedia.org/resource/Burroughs_B1700 + , http://dbpedia.org/resource/Datapath + , http://dbpedia.org/resource/Bioamplifier + , http://dbpedia.org/resource/Java_Optimized_Processor + , http://dbpedia.org/resource/Pmod_Interface + , http://dbpedia.org/resource/Free_and_open-source_graphics_device_driver + , http://dbpedia.org/resource/Lisa_Su + , http://dbpedia.org/resource/Heterogeneous_computing + , http://dbpedia.org/resource/Network_interface_controller + , http://dbpedia.org/resource/Xilinx + , http://dbpedia.org/resource/Programmable_Array_Logic + , http://dbpedia.org/resource/Front-side_bus + , http://dbpedia.org/resource/Lattice_Semiconductor + , http://dbpedia.org/resource/ICE_%28FPGA%29 + , http://dbpedia.org/resource/Smith%E2%80%93Waterman_algorithm + , http://dbpedia.org/resource/Torrenza + , http://dbpedia.org/resource/Transistor_count + , http://dbpedia.org/resource/M-Labs + , http://dbpedia.org/resource/TSUBAME_%28satellite%29 + , http://dbpedia.org/resource/CFESat + , http://dbpedia.org/resource/AV1 + , http://dbpedia.org/resource/Miftahur_Rahman + , http://dbpedia.org/resource/Lookup_table + , http://dbpedia.org/resource/Advanced_Video_Coding + , http://dbpedia.org/resource/List_of_National_Inventors_Hall_of_Fame_inductees + , http://dbpedia.org/resource/KeeLoq + , http://dbpedia.org/resource/1-Wire + , http://dbpedia.org/resource/Coherent_Accelerator_Processor_Interface + , http://dbpedia.org/resource/Kunle_Olukotun + , http://dbpedia.org/resource/Data_warehouse_appliance + , http://dbpedia.org/resource/List_of_Eclipse-based_software + , http://dbpedia.org/resource/Individual_Computers_Catweasel + , http://dbpedia.org/resource/Virtex_%28FPGA%29 + , http://dbpedia.org/resource/Texas_Instruments_DaVinci + , http://dbpedia.org/resource/JPEG_XS + , http://dbpedia.org/resource/Computer_engineering_compendium + , http://dbpedia.org/resource/Roofline_model + , http://dbpedia.org/resource/Proxmark3 + , http://dbpedia.org/resource/MicroTCA + , http://dbpedia.org/resource/Logic_block + , http://dbpedia.org/resource/Field-Programmable_Gate_Array + , http://dbpedia.org/resource/Supercomputer + , http://dbpedia.org/resource/Ingenuity_%28helicopter%29 + , http://dbpedia.org/resource/Mega_Sg + , http://dbpedia.org/resource/OpenRISC_1200 + , http://dbpedia.org/resource/Custom_hardware_attack + , http://dbpedia.org/resource/Media-independent_interface + , http://dbpedia.org/resource/Number_Nine_Visual_Technology + , http://dbpedia.org/resource/Open_Core_Protocol + , http://dbpedia.org/resource/Lyra2 + , http://dbpedia.org/resource/Computer-on-module + , http://dbpedia.org/resource/Physical_unclonable_function + , http://dbpedia.org/resource/Tabula_%28company%29 + , http://dbpedia.org/resource/Lateral_computing + , http://dbpedia.org/resource/Lattice_Boltzmann_methods + , http://dbpedia.org/resource/Amiga_500 + , http://dbpedia.org/resource/Paprium + , http://dbpedia.org/resource/Kahn_process_networks + , http://dbpedia.org/resource/Integrated_circuit + , http://dbpedia.org/resource/Patni_Computer_Systems + , http://dbpedia.org/resource/Super_Nt + , http://dbpedia.org/resource/C_to_HDL + , http://dbpedia.org/resource/Amiga_600 + , http://dbpedia.org/resource/Semi-global_matching + , http://dbpedia.org/resource/Moog_synthesizer + , http://dbpedia.org/resource/Digital_filter + , http://dbpedia.org/resource/Hardware_random_number_generator + , http://dbpedia.org/resource/List_of_monochrome_and_RGB_color_formats + , http://dbpedia.org/resource/FPGA_Mezzanine_Card + , http://dbpedia.org/resource/Compute_kernel + , http://dbpedia.org/resource/Processor_%28computing%29 + , http://dbpedia.org/resource/MiSTer + , http://dbpedia.org/resource/OpenPOWER_Microwatt + , http://dbpedia.org/resource/Achronix + , http://dbpedia.org/resource/Digital_down_converter + , http://dbpedia.org/resource/Field_Programmable_Nanowire_Interconnect + , http://dbpedia.org/resource/FPGAs + , http://dbpedia.org/resource/Fpga + , http://dbpedia.org/resource/FPGA_board + , http://dbpedia.org/resource/Programmable_Gate_Array + , http://dbpedia.org/resource/Programmable_gate_array + , http://dbpedia.org/resource/Emulator + , http://dbpedia.org/resource/Hardware_acceleration + , http://dbpedia.org/resource/MicroBlaze + , http://dbpedia.org/resource/Nios_II + , http://dbpedia.org/resource/Embedded_system + , http://dbpedia.org/resource/Logistic_regression + , http://dbpedia.org/resource/Altium_Designer + , http://dbpedia.org/resource/OpenCL + , http://dbpedia.org/resource/Semiconductor_intellectual_property_core + , http://dbpedia.org/resource/Retrocomputing + , http://dbpedia.org/resource/Duolog + , http://dbpedia.org/resource/List_of_open-source_hardware_projects + , http://dbpedia.org/resource/Everysight + , http://dbpedia.org/resource/Nucleus_RTOS + , http://dbpedia.org/resource/AXIOM_%28camera%29 + , http://dbpedia.org/resource/Red_Pitaya_%28hardware%29 + , http://dbpedia.org/resource/Polymega + , http://dbpedia.org/resource/Brute-force_attack + , http://dbpedia.org/resource/Australian_Square_Kilometre_Array_Pathfinder + , http://dbpedia.org/resource/Jane_Street_Capital + , http://dbpedia.org/resource/Programmed_input%E2%80%93output + , http://dbpedia.org/resource/Application-specific_instruction_set_processor + , http://dbpedia.org/resource/ArduPilot + , http://dbpedia.org/resource/One-hot + , http://dbpedia.org/resource/Tarari_%28company%29 + , http://dbpedia.org/resource/Compiler + , http://dbpedia.org/resource/Electronic_component + , http://dbpedia.org/resource/Electronics + , http://dbpedia.org/resource/Place_and_route + , http://dbpedia.org/resource/Hardware_description_language + , http://dbpedia.org/resource/Glue_logic + , http://dbpedia.org/resource/Logic_synthesis + , http://dbpedia.org/resource/Parallel_computing + , http://dbpedia.org/resource/Key_management + , http://dbpedia.org/resource/Frontier_Radio + , http://dbpedia.org/resource/Air-Cobot + , http://dbpedia.org/resource/Bitstream + , http://dbpedia.org/resource/Index_of_electronics_articles + , http://dbpedia.org/resource/Power_integrity + , http://dbpedia.org/resource/Acorn_Electron + , http://dbpedia.org/resource/Field-programmability + , http://dbpedia.org/resource/James_V._Barnett_II + , http://dbpedia.org/resource/Ross_Freeman + , http://dbpedia.org/resource/DSPnano_RTOS + , http://dbpedia.org/resource/Soft_core_%28synthesis%29 + , http://dbpedia.org/resource/High-frequency_trading + , http://dbpedia.org/resource/C3D_Toolkit + , http://dbpedia.org/resource/Stochastic_computing + , http://dbpedia.org/resource/Jeri_Ellsworth + , http://dbpedia.org/resource/Open-source_hardware + , http://dbpedia.org/resource/RT-RK + , http://dbpedia.org/resource/Airborne_Networking + , http://dbpedia.org/resource/842_%28compression_algorithm%29 + , http://dbpedia.org/resource/Open_Graphics_Project + , http://dbpedia.org/resource/Embedded_Supercomputing + , http://dbpedia.org/resource/Pro_Tools + , http://dbpedia.org/resource/Bitcoin_network + , http://dbpedia.org/resource/V850 + , http://dbpedia.org/resource/Programmer_%28hardware%29 + , http://dbpedia.org/resource/Stream_cipher + , http://dbpedia.org/resource/Oberon_%28programming_language%29 + , http://dbpedia.org/resource/Profinet + , http://dbpedia.org/resource/MOST_Bus + , http://dbpedia.org/resource/Hardware_emulation + , http://dbpedia.org/resource/RAPIS-1 + , http://dbpedia.org/resource/List_of_open-source_hardware + , http://dbpedia.org/resource/Supercomputer_architecture + , http://dbpedia.org/resource/Electronika_BK + , http://dbpedia.org/resource/SpeakEasy + , http://dbpedia.org/resource/LatticeMico8 + , http://dbpedia.org/resource/Logic_analyzer + , http://dbpedia.org/resource/FPGA_prototyping + , http://dbpedia.org/resource/Hydra_%28chess%29 + , http://dbpedia.org/resource/C-One + , http://dbpedia.org/resource/SoundGrid + , http://dbpedia.org/resource/Synchronous_Data_Flow + , http://dbpedia.org/resource/Low_Pin_Count + , http://dbpedia.org/resource/Post-silicon_validation + , http://dbpedia.org/resource/Amber_%28processor%29 + , http://dbpedia.org/resource/Abbas_El_Gamal + , http://dbpedia.org/resource/Jack_Baskin_School_of_Engineering + , http://dbpedia.org/resource/OrCAD + , http://dbpedia.org/resource/Xilinx_ISE + , http://dbpedia.org/resource/Synopsys + , http://dbpedia.org/resource/Intel_Quartus_Prime + , http://dbpedia.org/resource/Cadence_Design_Systems + , http://dbpedia.org/resource/Aldec + , http://dbpedia.org/resource/Altera + , http://dbpedia.org/resource/Cellular_neural_network + , http://dbpedia.org/resource/Staring_array + , http://dbpedia.org/resource/Combinational_logic + , http://dbpedia.org/resource/ALF_Products + , http://dbpedia.org/resource/LatticeMico32 + , http://dbpedia.org/resource/Field_programmable_gate_array + , http://dbpedia.org/resource/Signal_processing + , http://dbpedia.org/resource/Terry_A._Davis + , http://dbpedia.org/resource/Altera_Hardware_Description_Language + , http://dbpedia.org/resource/Minimig + , http://dbpedia.org/resource/Flow_to_HDL + , http://dbpedia.org/resource/Advanced_Boolean_Expression_Language + , http://dbpedia.org/resource/RCA_1802 + , http://dbpedia.org/resource/Field-programmable_RF + , http://dbpedia.org/resource/Field-programmable_analog_array + , http://dbpedia.org/resource/Hardware_security + , http://dbpedia.org/resource/Bin_packing_problem + , http://dbpedia.org/resource/Standard_cell + , http://dbpedia.org/resource/Processor_design + , http://dbpedia.org/resource/AI_accelerator + , http://dbpedia.org/resource/AVR_microcontrollers + , http://dbpedia.org/resource/CoaXPress + , http://dbpedia.org/resource/Parasitic_computing + , http://dbpedia.org/resource/Logarithmic_number_system + , http://dbpedia.org/resource/Caustic_Graphics + , http://dbpedia.org/resource/Amiga_custom_chips + , http://dbpedia.org/resource/Fujitsu_A64FX + , http://dbpedia.org/resource/PowWow + , http://dbpedia.org/resource/Binding_neuron + , http://dbpedia.org/resource/C-slowing + , http://dbpedia.org/resource/Circuit_underutilization + , http://dbpedia.org/resource/Field_programmable_logic_array + http://dbpedia.org/ontology/wikiPageWikiLink
http://en.wikipedia.org/wiki/Field-programmable_gate_array + http://xmlns.com/foaf/0.1/primaryTopic
http://dbpedia.org/resource/Field-programmable_gate_array + owl:sameAs
 

 

Enter the name of the page to start semantic browsing from.